3
I Use This!
Moderate Activity
Analyzed about 16 hours ago. based on code collected 1 day ago.

Project Summary

Open Source VHDL Verification Methodology (OSVVM) is an intelligent testbench methodology that allows mixing of “Intelligent Coverage” (coverage driven randomization) with directed, algorithmic, file based, and constrained random test approaches. The methodology can be adopted in part or in whole as needed. With OSVVM you can add advanced verification methodologies to your current testbench without having to learn a new language or throw out your existing testbench or testbench models.

Tags

asic fpga library logging open-source randomization reporting simulation testing verification vhdl

Apache License 2.0
Permitted

Commercial Use

Modify

Distribute

Place Warranty

Sub-License

Private Use

Use Patent Claims

Forbidden

Hold Liable

Use Trademarks

Required

Include Copyright

State Changes

Include License

Include Notice

These details are provided for information only. No information here is legal advice and should not be used as such.

Project Security

Vulnerabilities per Version ( last 10 releases )

There are no reported vulnerabilities

Project Vulnerability Report

Security Confidence Index

Poor security track-record
Favorable security track-record

Vulnerability Exposure Index

Many reported vulnerabilities
Few reported vulnerabilities

Did You Know...

  • ...
    65% of companies leverage OSS to speed application development in 2016
  • ...
    learn about Open Hub updates and features on the Open Hub blog
  • ...
    use of OSS increased in 65% of companies in 2016
  • ...
    data presented on the Open Hub is available through our API
About Project Security

Languages

VHDL
92%
Tcl
5%
3 Other
3%

30 Day Summary

Mar 24 2024 — Apr 23 2024

12 Month Summary

Apr 23 2023 — Apr 23 2024
  • 296 Commits
    Down -402 (57%) from previous 12 months
  • 3 Contributors
    Down -4 (57%) from previous 12 months