9
I Use This!
High Activity
Analyzed about 18 hours ago. based on code collected 1 day ago.

Project Summary

GHDL is an open-source analyzer, compiler, simulator and (experimental) synthesizer for VHDL, a Hardware Description Language (HDL). GHDL provides full support for the 1987, 1993, 2002 versions of the IEEE 1076 VHDL standard, and partial for the 2008 revision. GHDL allows you to analyse and elaborate sources for generating machine code from your design.

Tags

ada compiler hardware-description simulator vhdl

GNU General Public License v2.0 or later
Permitted

Commercial Use

Modify

Distribute

Place Warranty

Forbidden

Sub-License

Hold Liable

Required

Distribute Original

Disclose Source

Include Copyright

State Changes

Include License

These details are provided for information only. No information here is legal advice and should not be used as such.

This Project has No vulnerabilities Reported Against it

Did You Know...

  • ...
    use of OSS increased in 65% of companies in 2016
  • ...
    check out hot projects on the Open Hub
  • ...
    there are over 3,000 projects on the Open Hub with security vulnerabilities reported against them
  • ...
    you can subscribe to e-mail newsletters to receive update from the Open Hub blog

Languages

VHDL
56%
Ada
36%
18 Other
8%

30 Day Summary

Mar 23 2024 — Apr 22 2024

12 Month Summary

Apr 22 2023 — Apr 22 2024
  • 842 Commits
    Down -648 (43%) from previous 12 months
  • 15 Contributors
    Down -4 (21%) from previous 12 months

Ratings

3 users rate this project:
4.66667
   
4.7/5.0
Click to add your rating
  
Review this Project!