10
I Use This!
Very Low Activity

Commits : Listings

Analyzed about 19 hours ago. based on code collected 2 days ago.
May 13, 2023 — May 13, 2024
Commit Message Contributor Files Modified Lines Added Lines Removed Code Location Date
add --quiet option to gprolog More... over 1 year ago
add --quiet option to gprolog More... over 1 year ago
add --quiet option to gprolog More... over 1 year ago
add --quiet option to gprolog More... over 1 year ago
add --quiet option to gprolog More... over 1 year ago
add --quiet option to gprolog More... over 1 year ago
recoup example files from main branch More... almost 2 years ago
Merge branch 'contexts' of github.com:didoudiaz/gprolog into contexts More... almost 2 years ago
program name is now GNU Prolog/CX More... almost 2 years ago
program name is now GNU Prolog/CX More... almost 2 years ago
contextual predicates must have local symbol names add call.wam and context.wam to git add gprolog-cx to ignored in TopComp More... about 2 years ago
remove old CVS comments More... about 2 years ago
first working version of contexts, with new name mangling scheme More... about 2 years ago
context merge progress. internal.pl and .wam explicit about context/1 and ccontext/1. More... about 2 years ago
configure.in adjusted for autoconf 2.71 More... about 2 years ago
Merge branch 'master' into contexts More... about 2 years ago
Reintegrate CxLP (initial) More... about 2 years ago
fix issue #23: add built-in predicate copy_file/2 More... about 2 years ago
fix issue #23: add built-in predicate copy_file/2 More... about 2 years ago
fix issue #23: add built-in predicate copy_file/2 More... about 2 years ago
fix issue #23: add built-in predicate copy_file/2 More... about 2 years ago
fix issue #10: missing int_overflow More... about 2 years ago
fix issue #10: missing int_overflow More... about 2 years ago
fix issue #10: missing int_overflow More... about 2 years ago
fix issue #10: missing int_overflow More... about 2 years ago
little fix More... about 2 years ago
little fix More... about 2 years ago
little fix More... about 2 years ago
little fix More... about 2 years ago
fix issue #22: math evaluation raises an float_overflow instead of int_overflow More... about 2 years ago